范文健康探索娱乐情感热点
投稿投诉
热点动态
科技财经
情感日志
励志美文
娱乐时尚
游戏搞笑
探索旅游
历史星座
健康养生
美丽育儿
范文作文
教案论文

国产ALD设备领军者,微导纳米专注ALD设备,光伏半导体双突破

  (报告出品方/分析师:民生证券 方竞)1、微导纳米:光伏ALD龙头,发力半导体新赛道
  1.1 国产ALD设备领军者
  江苏微导纳米公司以原子层沉积(ALD)技术为核心,主要从事先进微、纳米级薄膜沉积设备的研发、生产和销售,向下游客户提供先进薄膜沉积设备、配套产品及服务。公司业务涵盖光伏、半导体以及柔性电子领域。主要产品包括应用于新一代高效太阳能电池的薄膜沉积设备和量产解决方案,以及应用于先进逻辑芯片、新型存储芯片、化合物半导体、新型显示芯片等半导体领域的薄膜沉积设备。
  公司是国内首家成功将量产型High-K原子层沉积设备应用于28nm节点集成电路制造前道生产线的国产设备公司,成功解决一项半导体设备"卡脖子"难题,是国家级专精特新"小巨人"、苏南国家自主创新示范区独角兽企业、江苏省原子层沉积技术工程技术研究中心。微导纳米通过多年的自主创新,不断提高设备的技术水平和产品的竞争力,拓展并深化核心技术应用,不断打造高端装备制造商的优质品牌,推动高端技术装备的国产化、产业化。
  公司产品率先用于光伏电池片生产过程中的薄膜沉积环节,已覆盖包括通威太阳能、隆基股份、晶澳太阳能、阿特斯、天合光能等在内的多家知名太阳能电池片生产商。在成功将ALD技术应用于光伏领域后,公司开发了对技术水平和工艺要求更高的半导体薄膜沉积设备,已先后获得国内多家知名半导体公司的商业订单。
  1.2 收入连续高增,业务快速扩张
  得益于光伏行业需求的持续增长、公司产品匹配电池生产技术发展方向,微导在2019年以来实现了收入规模稳健增长。2019-2021年,公司营业收入从2.16亿元增长至4.28亿元,年均复合增速40.81%。2022年前三季度实现收入3.85亿元,同比增长67%,保持了稳健增长势头。
  费用端,公司在2020年以来呈现一定的费用率波动,具体体现在2020年销售费用升高,主要原因是公司订单规模增长、销售及支持人员数量增加导致的职工薪酬和差旅费增加。2022年前三季度净利润出现短期小幅亏损,主要因为上半年上海周边地区疫情反复,叠加公司因为业务规模扩张大幅扩充了人员规模,导致管理费用率上升。
  收入结构方面,公司营业收入主要来源于薄膜沉积设备、配套产品及服务两大主营业务。自2018年以来,光伏行业在PERC电池技术产线投资大幅增加,公司ALD设备镀膜展现了良好的致密性和均匀性,在首台设备获得成功后,迅速打开市场,订单大幅增加,光伏业务收入逐年增长。
  设备改造业务主要是公司针对市场需求和技术发展趋势,为光伏领域客户在役设备提供尺寸改造、工艺改造等升级改造服务,以帮助下游客户达到降本增效的目的,随着公司市场的持续开拓、设备订单数量不断增长,客户对在役设备的改造需求增加,2021年设备改造实现收入1.23亿元,相比于2020年的447.79万元有大幅增长,主要系公司在持续开拓市场并自2018年起实现设备批量销售后,针对太阳能电池片大尺寸化以及生产工艺技术提升的变化,部分客户对在役设备的改造需求增加,公司在2021年度陆续执行完成。
  分产品种类来看,公司设备产品可分为光伏领域的ALD设备、PECVD设备、PEALD二合一平台设备,以及半导体领域的ALD设备、真空传输系统。2022年H1,公司光伏ALD收入0.65亿元,光伏PEALD二合一设备收入0.48亿元,光伏PECVD收入0.30亿元,半导体设备收入475万元,主要来自真空传输系统。
  毛利率端,公司业务主体的光伏ALD设备毛利率基本维持稳定,但2021年和2022年H1逐步放量的新产品,包括光伏PEALD二合一设备、光伏PECVD、半导体ALD等在早期毛利率较低,拉低了总体毛利率水平。
  成本结构方面,公司原材料采购以机械一体类、真空系统类与电器类为主。其中主要原材料包括外腔体、质量流量控制器等,随着公司采购量的增长、议价能力的增强以及行业产业化的发展,公司所采购的部分原材料价格呈现下降趋势。
  资产负债端,公司2022年在手订单大幅增长,合同负债及存货均有高增。公司2022年前三季度存货7.62亿元,同比增长72%,存货结构以发出商品为主,前三季度合同负债4.4亿元,同比增长101%,主要得益于在手订单增加。截至2022年9月末,公司光伏、半导体工艺设备在手订单近20亿元,同比增幅超过120%,有望为2022年收入高增提供保障。
  1.3 以原子层沉积技术为核心,覆盖行业龙头客户
  公司自2015年成立以来不断取得应用领域突破,在光伏设备领域,公司全球首创将ALD技术规模化应用于光伏领域,夸父原子层沉积设备被评定为"江苏省首台(套)重大装备产品",客户包括通威、隆基、爱旭、晶科、阿特斯等光伏头部公司,具备TOPCon整线工艺能力,公司提供核心设备的无锡尚德GW级TOPCon整线项目量产平均效率达25%。
  半导体设备领域,公司开发了可应用于逻辑、存储、化合物半导体、先进显示等领域的ALD设备,是国内首家成功将量产型High-k原子层沉积设备应用于28nm节点集成电路制造前道生产线的国产设备公司,设备总体表现和工艺关键性能参数达到国际同类水平,并获客户重复订单认可。柔性电子领域,公司自主开发的柔性电子设备实现产业化应用。
  光伏领域,公司客户已覆盖包括通威太阳能、隆基股份、晶澳太阳能、阿特斯、天合光能等在内的多家知名太阳能电池片生产商。半导体领域亦导入国内龙头晶圆厂。客户结构上看,2019-2021年,通威为公司第一大客户。2022年H1公司前五大客户为龙恒新能源、阿特斯、顺风太阳能、爱旭科技和商洛比亚迪,共计占比92.97%。
  1.4 背靠先导智能,核心团队资历深厚
  本次IPO发行前,公司实际控制人为王燕清家族,通过万海盈投资、聚海盈管理、德厚盈投资间接控制公司67.34%的股份。王燕清家族从事新能源行业多年,掌握上市公司先导智能,为锂电设备龙头企业,涵盖锂电、光伏、3C电子等领域的智能制造业务,为公司提供客户积累、技术布局等战略支持。
  公司核心技术人员LIWEIMING、LIXIANG分别直接持股10.47%、4.93%,吴兴华、许所昌通过聚海盈管理间接持股0.41%、0.34%,核心技术人员持股份额合计16.15%。
  微导纳米的核心技术人员均拥有深厚的专业能力,并且在重要科研成果与主要知识产权上对公司具有重要贡献。
  LIWEIMIN,公司副董事、首席技术官,拥有25余年原子层沉积技术的研发经验,最早开始研究ALD技术的华人之一,先后任职于职于芬兰ASM Microchemistry(ASM子公司),芬兰Picosun(现AMAT子公司)等国际ALD设备龙头厂商。2015年12月至2016年1月就职于先导智能,2015年12月至2019年12月,任微导有限董事;2016年2月至2019年12月,任微导有限首席技术官;2019年12月至今,任公司首席技术官、董事、副董事长。
  LIXIANG,公司董事、副总经理,拥有10余年半导体器件制造和工艺研发经验,具有丰富的原子层沉积ALD工艺技术研发和量产导入经验;国内外核心期刊发表论文35篇;获2020年江苏省"双创团队"核心成员、2019年无锡市太湖创新领军型团队核心成员、2018年江苏省"双创人才"、2018年无锡市太湖创新领军人才、2016年江苏省"双创博士"。
  许所昌,半导体事业部工艺副总监,拥有多年半导体行业薄膜工艺研发经历,主导公司首台用于逻辑芯片28nmHfO2栅氧原子层沉积工艺开发并通过客户产线验收。2021年江苏省"双创人才"、2021年无锡市"太湖人才计划"创新领军人才、2020年江苏省"双创团队"核心成员、2019年江苏省"双创博士"。
  吴兴华,光伏事业部副总经理,拥有15年以上高效率太阳能电池设备与高效电池技术研发经验,曾任中国台湾工业技术研究院高级工程师,长期致力于高效率电池技术开发与产业化研究,在N型高效电池制造领域积累了丰富的经验;发表论文6篇;荣获工研院杰出金牌研究奖。
  此外,公司核心管理人员产业经验丰富。总经理周仁,拥有30余年半导体设备研发和制造经验,历任美国Novellus System、Lam、KLA等国内外顶级半导体设备公司技术高管,亦有国内设备龙头中微公司、拓荆科技工作经历,负责多家半导体企业技术和运营管理;副总经理胡彬,国家青年机械设计一等奖获得者,曾任先导智能工程副总经理,具有丰富的非标自动化设备的设计经验,专业能力过硬;董事会秘书龙文与财务负责人俞潇莹也都具有丰富的产业经验,在相关领域深耕多年。
  1.5 持续高研发投入,提升核心竞争力
  微导纳米专注于原子层沉积ALD技术的研发与创新,而ALD工艺优异的沉积均匀性和一致性使得其在微纳电子学和纳米材料等领域具有广泛的潜力,目前光伏领域、半导体领域等应用场景均体现了ALD的技术特点以及优势,为公司的后续发展提供了广阔市场空间。
  公司高度重视研发,不断加大投入,2022前三季度,公司研发费用0.94亿元,研发费用率达24.29%。
  公司坚持自主研发,已形成原子层沉积反应器设计技术、高产能真空镀膜技术、真空镀膜设备工艺反应气体控制技术等多项核心技术,上述核心技术成功应用于公司各类产品。公司拥有专利97项,构筑核心竞争力。
  在已有研发成果基础上,公司制定了具体的研发计划,通过对原子层沉积技术的半导体、光伏以及柔性电子设备扩产升级项目,进一步提升公司的研发实力和生产能力,巩固并提高公司的市场份额。未来产品开发规划中,公司亦积极加大新技术布局。
  在光伏镀膜设备上,推广以ALD技术为核心技术的下一代高效电池生产整体解决方案,同时与上下游以及国内外顶尖研究机构合作开发HJT、钙钛矿等其他高效电池关键镀膜技术和装备;在半导体镀膜设备上,积极研发逻辑、存储、新型显示、化合物半导体的ALD技术和设备,扩大市场份额。
  2、先进工艺演进,催生ALD需求增量
  2.1 ALD技术延展性强,应用领域广泛
  原子层沉积(ALD)技术是一种特殊的真空薄膜沉积方法,具有较高的技术壁垒。
  通过ALD镀膜设备可以将物质以单原子层的形式一层一层沉积在基底表面,每镀膜一次/层为一个原子层,根据原子特性,镀膜10次/层约为1nm。ALD技术通过将气相前驱体脉冲交替地通入反应室并在沉积基底上发生表面饱和化学反应形成薄膜。
  典型的热原子层沉积(TALD)技术是利用加热为薄膜沉积过程中的化学吸附提供活化能。以三甲基铝(TMA)为金属铝源、水蒸气为氧源,沉积Al2O3薄膜的反应为例,每一个单位循环分为四步:
  ALD可在复杂形貌上,完成可控制于原子层精度的高质量薄膜沉积。
  由于ALD技术表面化学反应具有自限性,因此拥有多项独特的薄膜沉积特性:1、三维共形性,广泛适用于不同形状的基底;2、大面积成膜的均匀性,且致密、无针孔;3、可实现亚纳米级的薄膜厚度控制。ALD技术局限主要在于沉积速度低,前驱体材料受限等。
  根据以上特性,原子层沉积(ALD)技术是一个具备前瞻与共性的关键真空镀膜技术,可广泛适用于不同场景下的薄膜沉积,在光伏、半导体、柔性电子等新型显示、MEMS、催化及光学器件等诸多高精尖领域均拥有良好的产业化前景。
  公司在成功实现ALD技术应用于光伏领域后,先后开发出对技术水平和工艺要求更高的半导体和柔性电子薄膜沉积设备,并逐步拓展应用领域。
  2.2 半导体ALD:先进制程应用广泛
  2.2.1 薄膜设备种类繁多,进口替代空间可观
  半导体设备主要包括前道工艺设备和后道工艺设备,前道工艺设备为晶圆制造设备,后道工艺设备包括封装设备和测试设备,其他类型设备主要包括硅片生长设备等。其中晶圆前道工艺设备整体占比超过80%,是半导体设备行业最核心的组成部分。
  从晶圆厂的投资构成来看,刻蚀设备、光刻设备、薄膜沉积设备是集成电路前道生产工艺中最重要的三类设备。其中,薄膜沉积设备投资额占晶圆厂投资总额的16%,占晶圆制造设备投资总额的21%。
  根据Maximize Market Research数据统计,全球半导体薄膜沉积设备市场规模从2017年的125亿美元扩大至2020年的172亿美元,年复合增长率为11.2%。预计至2025年市场规模可达340亿美元,保持年复合13.3%的增长速度。
  伴随着国家鼓励类产业政策和产业投资基金不断的落实与实施,本土半导体及其设备制造业迎来了前所未有的发展契机,而薄膜沉积设备作为半导体制造的核心设备,将会迎来可观的进口替代市场空间。
  薄膜沉积是指采用物理或者化学的方法使物质附着于衬底材料表面的过程。
  按工艺原理的不同,集成电路薄膜沉积可分为物理气相沉积(Physical Vapor Deposition,PVD)、化学气相沉积(Chemical Vapor Deposition,CVD)和原子层沉积(Atomic Layer Deposition,ALD)设备。
  1)物理气相沉积(PVD):采用物理方法将材料源(固体或液体)表面气化成气态原子或分子,或部分电离成离子,并通过低压气体(或等离子体)过程,在基体表面沉积具有某种特殊功能的薄膜的技术。PVD镀膜技术主要分为三类:真空蒸发镀膜、真空溅射镀膜和真空离子镀膜。
  2)化学气相沉积(CVD):化学气体在外部能量作用下发生化学反应,在衬底表面沉积薄膜的一种工艺。用于沉积的材料包括介电材料、绝缘薄膜、硬掩模层以及金属膜层的沉积。常见的CVD包括低压化学气相沉积(LPCVD)、常压化学气相沉积(APCVD)、等离子体增强型气相沉积(PECVD)、金属有机化合物化学气相沉积(MOCVD)。
  3)原子层沉积(ALD):原子逐层沉积在衬底材料上的工艺,通过将两种或多种前驱物交替通过衬底表面,发生化学吸附反应逐层沉积在衬底表面,能对复杂形貌基底表面全覆盖成膜。由于ALD设备可以实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制,实现了芯片制造工艺中关键尺寸的精度控制,在结构复杂、薄膜厚度要求精准的先进逻辑芯片、DRAM和3DNAND制造中,ALD是必不可少的核心设备之一。
  三种薄膜沉积技术互为补充,ALD在45nm以下具有广阔应用场景。
  在芯片的制造过程中,涉及十余种不同材料的薄膜、数十种工艺类型、上百道工艺环节,需要不同性能和材料的薄膜,因此PVD、CVD、ALD三类薄膜沉积技术依靠各自技术特点拓展适合的应用领域,材料制备上相互补充,如PVD一般用于较厚的金属及导电类的平面膜层制备;CVD一般适用中等以上厚度的膜层制备、应用范围广;ALD可以一个原子的厚度(约0.1nm)为精度进行薄膜沉积,更适用于超薄膜厚度控制以及三维、超高深宽比结构器件的应用。
  从市场空间上看,CVD为薄膜中价值量最高的品类,其中又以PECVD为最大。据Gartner数据,2021年全球PECVD设备市场63.2亿美元,占薄膜设备的30.56%,PVD设备市场43.6亿美元,占21.08%,ALD设备市场27亿美元,占13.06%。
  由于芯片工艺进步及结构复杂化,先进制程下薄膜设备精密化、多样化,由此产生各种薄膜沉积工艺设备份额的变化。
  在半导体制程进入28nm后,由于器件结构不断缩小且更为3D立体化,生产过程中需要实现厚度更薄的膜层,以及在更为立体的器件表面均匀镀膜。在此背景下,ALD技术凭借优异的三维共形性、大面积成膜的均匀性和精确的膜厚控制等特点,技术优势愈加明显,在半导体薄膜沉积环节的市场占有率也将持续提高。
  2.2.2 服务先进制程,工艺演进带来用量增长
  原子层沉积(ALD)设备根据供能方式的不同,可分为热原子层沉积(Thermal ALD)设备和等离子增强型原子层沉积(Plasma Enhanced ALD,PE-ALD)设备。热原子层沉积设备依靠热能激发两种或多种前驱物发生化学反应。
  为提供足够的反应激活能量,热原子层沉积设备一般的工作温度区间是200-500℃。在热原子层沉积设备基础上,通过在工艺腔室中引入等离子体,可以有效降低工艺温度,满足低热预算的工艺要求。
  另外,等离子体的引入可以使更多的前驱物满足ALD工艺化学吸附反应所要求的反应激活能,从而使ALD工艺制备更多的薄膜。
  除了降低工艺温度,PE-ALD工艺在提高薄膜致密性、降低薄膜杂质含量等方面也具有一定的优势。根据等离子体引入方式不同,PEALD分为电容耦合型(CCPPEALD)和电感耦合型(ICPPEALD)两类。
  ALD技术主要服务先进制程,在28nm及以下制程逻辑芯片、先进DRAM芯片、高堆叠层数3DNAND以及新型存储器中某些特定薄膜沉积环节有着至关重要的作用,其应用环节不断增加。
  (1)High-k介质
  在晶圆制造进入65nm制程及以上,集成电路主要通过沉积SiO2薄膜形成栅极介质减少漏电,但进入45nm制程特别是28nm之后,传统的SiO2栅介质层薄膜材料厚度需缩小至1纳米以下,将产生明显的量子隧穿效应和多晶硅耗尽效应,导致漏电流急剧增加,器件性能急剧恶化。
  由于高k的栅介质层厚度往往小于10nm,所需的膜层很薄(通常在数纳米量级内),用高k材料替代SiO2,在同样电流密度下栅电介质可以制作更高的物理厚度,抑制漏电流的产生。
  ALD技术凭借原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,能满足高k栅介电质薄膜沉积工艺的需求。
  常见的高k材料包括TiO2、HfO2、AI2O3、ZrO2、Ta2O5等,其中HfO2具有适合的禁带宽度(5.8eV),因此作为栅介层得到了业内广泛的应用。
  (2)多重曝光
  自2001年后,晶圆制造开始采用效率更高的22nm/16nm/14nm FinFET晶体管结构,但由于当光罩线宽接近光源波长时将会发生明显的衍射效应,会导致光刻工序的失效。
  多重曝光技术是指在现有的光刻机精度下,依次使用不同的掩膜版,分别进行两次及以上的曝光,将一次曝光留下的介质层作为二次曝光的部分遮挡层。由于多重曝光需要薄膜技术具有接近100%的保形性、薄膜厚度控制精准,因此ALD技术被迅速推广应用。
  (3)3D立体结构
  无论是逻辑芯片还是存储芯片,随着工艺的升级均在走向3D立体结构,元器件逐步呈现高密度、高深宽比结构。由于ALD独特的技术优势,在每个周期中生长的薄膜厚度是一定的,拥有精确的膜厚控制和优越的台阶覆盖率,因此能够较好的满足器件尺寸不断缩小和结构3D立体化对于薄膜沉积工序中薄膜的厚度、三维共形性等方面的更高要求。
  得益于前文提及的多种应用,ALD在先进工艺中用量持续增长,逻辑芯片从40nm到7nm制程结点中ALD技术应用环节数量从1种增长至11种,存储芯片中FeRAM、ReRAM、3DNAND、DRAM等亦对ALD技术有广泛采用。
  2.2.3 全球格局:海外巨头垄断
  从全球市场来看,ALD设备主要由荷兰AMS和日本TEL垄断,两者合计占60%市场份额。与此同时,美国Lam、AMAT等国际半导体设备厂商的产品线均涵盖ALD设备。
  (1)ASM
  全球最大的半导体设备制造商之一。公司产品涵盖了晶圆加工技术的重要方面,包括光刻、沉积、离子注入和单晶圆外延。1999年收购了芬兰公司Microchemistry,获得ALD装备制造能力,是原子层沉积领域的先驱。
  (2)TEL
  世界主要的半导体制造设备、液晶显示器制造设备制造商之一。在半导体ALD设备全球市场份额位列第二,也是日本最大的半导体成膜、刻蚀设备公司。
  (3)AMAT
  世界上最大的半导体装备供应商,提供泛半导体装备包含半导体及封装、太阳能、LED等领域,在全部的前道工艺上除光刻机以外都有全系列的专用装备提供。2022年收购芬兰Picosun,研发的ALD技术被用于从逻辑和存储器到LED、微机械MEMS器件和电源芯片的芯片制造,在半导体领域份额较低。
  (4)Lam
  世界上第三大半导体装备供应商,产品着重在薄膜沉积、等离子刻蚀、光阻去除、晶片清洗等半导体前道工艺和封装应用。该公司产品线包含ALD设备。
  而国内方面,除了微导纳米之外,拥有半导体薄膜沉积设备业务的A股上市公司主要有北方华创、中微公司、拓荆科技。
  北方华创、拓荆科技分别主要经营PVD产品、PECVD产品,两家公司ALD设备曾实现销售,部分客户仍处于工艺验证阶段。中微公司主要为半导体客户提供刻蚀设备、MOCVD设备,ALD设备为其筹划开发产品。
  目前,国内拥有半导体ALD技术产业化能力的企业家数较少,国产半导体ALD设备业务规模与国际竞争对手相比整体偏小。在国产替代背景下,随着核心技术的不断突破、不同环节工艺水平的提升、量产的持续推进,国内半导体ALD设备企业具有广阔的发展空间。
  2.3 光伏ALD:受益新型电池加速渗透
  2.3.1 新型电池路线明确
  2010年以来,全球太阳能光伏产业进入了高速发展期,太阳能光伏年装机容量快速增长,上游相关行业也得到迅速发展。
  2011年至2021年间,全球年度光伏新增装机容量和累计装机容量大幅增长,其中,新增装机容量由2011年的32.2GW增加至2021年的170GW,增长超过5倍。
  我国太阳能光伏产业起步相对国外较晚,但受惠于全球光伏行业的高速发展,凭借国家政策的大力支持与人力资源、成本优势,发展极为迅速。
  截至2021年底,我国光伏发电装机量达307GW,同比增长21%,连续7年位居全球首位;2021年新增光伏发电装机54.88GW,同比增长13.9%,连续9年位居世界第一。
  而光伏电池片是太阳能光伏上游的核心环节,我国电池片产业亦领先全球。
  2010年至2021年,我国太阳能电池片产量逐年上升,2021年我国电池片产量为197.9GW,较2020年同比增长约46.8%,生产规模自2007年开始连续14年居全球首位。
  从技术路径上看,太阳能电池片技术路线主要包括铝背场电池(Al-BSF)、PERC、TOPCon、异质结(HJT)、背接触(IBC)及钙钛矿等。P型电池以P型硅片为原材料,技术路线包括传统的铝背场技术以及目前非常成熟的PERC技术;N型电池以N型硅片为原材料,技术路线包括TOPCon、HJT等,近年来已有厂商陆续开始布局,属于下一代高效电池技术路线的潜在方向,而IBC和钙钛矿为未来技术,尚处于实验和验证阶段。
  现阶段来看,TOPCon电池升级迭代的最大优势在于其与PERC产线兼容度高,可从PERC产线改造升级,是目前初始投资成本最低的N型高效电池之一。
  HJT技术的核心优势是电池结构相对简单,然而目前设备成本依旧较高,经济性不足,在材料端和设备端均存在降本空间。据CPIA数据,2021年国内TOPCon电池每GW平均设备投资额约2.2亿元,而HJT则为4亿元。
  2.3.2 光伏设备:国内厂商主导,技术创新催生设备需求
  经过多年发展,我国光伏电池设备制造基本实现国产替代,并在国际竞争中处于优势地位,自2010年以来,中国一直是全球最大的光伏设备市场。
  2021年,随着光伏企业产能扩张的计划发布,相关设备厂商订单不断增加,我国光伏设备产业规模超过400亿元。在光伏行业"降本增效"的发展趋势推动下,新产品、新技术层出不穷,相应量产和扩产需求催生更多的生产设备需求,在国内可观的市场需求拉动下,光伏设备厂商收入快速增长。
  光伏薄膜沉积设备主要应用于太阳能晶硅电池片的制造环节,根据电池不同工艺和所需的薄膜性质,所采用的薄膜沉积设备会有所不同。
  2018年-2021年,我国新建成产线基本全部为PERC产线,针对目前已经大规模生产的PERC电池生产技术,生产设备基本实现国产化,其中薄膜沉积设备主要用于PERC电池的钝化和减反膜的制备。
  对于新型高效电池来说,目前产业化前景最为明确的TOPCon电池和HJT电池对于薄膜沉积的需求更高。
  TOPCon电池生产线可以由PERC电池生产线升级改造实现,除原薄膜沉积需求外,还增加了隧穿层和掺杂多晶硅层镀膜需求。
  HJT电池整体结构变化较大,其制造环节只需4大类设备,分别是制绒清洗设备(投资占比10%)、非晶硅沉积设备(投资占比50%)、透明导电薄膜设备(投资占比25%)和印刷设备(投资占比15%),其中非晶硅沉积设备、透明导电薄膜设备均需要用到薄膜沉积设备。
  TOPCon等N型电池对薄膜设备市场的促进直接体现在设备投资比重上。根据下游上市公司披露的项目投资明细,在2020年来的主要N型电池扩产项目中,薄膜设备的投资占比约在33-40%,而PERC电池产线中,薄膜设备投资占比约在25%左右。
  光伏薄膜设备需求的旺盛增长之下,涌现了较多的国产供应商。目前光伏领域薄膜沉积设备厂商主要包括采用ALD技术的无锡松煜、理想晶延,以及主要采用PECVD技术的捷佳伟创(300724.SZ)、北方华创(002371.SZ)、红太阳、拉普拉斯、Centrotherm(商先创)等。而微导在ALD领域占据着领先优势。
  从下游电池片厂商的角度来看,太阳能电池片业内龙头主要包括隆基、通威、爱旭、晶澳等厂商。微导在较短时间内实现了ALD技术在光伏领域的成功应用,与下游的前十大龙头厂商均建立了合作关系。
  3、半导体+光伏双轨发展,国产替代突破实现
  3.1 半导体:布局先进工艺,实现国产0到1突破
  在半导体领域,公司拥有Thermal-ALD产品凤凰(P)系列、麒麟(QL)系列、PE-ALD、T-ALD两种设备类型的凤凰(P-Lite)产品,以及真空传输系统龙(Dragon)系列,用于半导体先进制程的晶圆真空传输系统。
  在半导体领域,公司是国内首家成功将量产型High-k原子层沉积设备应用于28nm节点集成电路制造前道生产线的国产设备公司。
  该High-k设备主要用于28nm栅介质氧化铪薄膜沉积,打破了国际知名设备大厂的垄断,总体表现和工艺关键性能参数达到国际同类水平,并已获得客户重复订单认可。
  从半导体薄膜沉积设备性能指标来看,公司半导体ALD设备的设备产能、平均故障间隔时间、平均修复时间、均匀性、薄膜颗粒控制、金属污染控制等多个技术指标已达到国际同类设备水平,反应源的可拓展性、机台稳定运行时间等部分指标数据占有优势,成功填补了一项半导体设备领域的空白。
  除了High-k栅介质层之外,微导还有多种ALD工艺在研发和验证中,包括Thermal ALD环节用于存储芯片的高k栅电容介质层工艺,用于化合物半导体的钝化层和过滤层工艺,用于半导体量子器件的超导材料导电层工艺,和PEALD环节用于化合物半导体的钝化层和过滤层工艺。
  3.2 光伏:受益TOPCon扩产高峰,订单旺盛
  公司以ALD技术为核心,已发展三代光伏设备产品:一代ALD设备、二代PECVD/祝融PEALD/羲和扩散炉、三代PERC、TOPCon工艺整线设备。
  公司在光伏领域持续以ALD技术路线为核心,深化发展包括热工艺ALD和等离子体工艺PEALD在内的ALD技术,同时兼顾PECVD等其他技术路线,以满足TOPCon、HJT、IBC、钙钛矿等不同电池对不同薄膜工艺设备的需求。
  光伏领域中ALD/PEALD新薄膜材料开发活跃、微导创新ALD/PEALD/PECVD技术在高效电池技术应用前景广阔、批量型热ALD设备的成熟大大降低了生产成本、原子层级薄膜沉积技术适合超薄薄膜应用,所以ALD设备在光伏领域应用场景广泛、前景广阔。
  夸父(KF)系列原子层沉积系统:运用ALD技术,对晶硅太阳能电池表面AL2O3钝化膜进行批量制备,在光伏领域PERC电池中的AL2O3工艺和SiNx工艺、TOPcon电池正面AL2O3均已实现产业化应用。
  AL2O3薄膜功能是由于具备较高的负电荷密度,可以对P型半导体如PERC电池背面和TOPCon电池的正面提供良好的场效应钝化,以达到更高的光电转化水平。
  SiNx薄膜的功能是其依靠化学稳定性,主要用于PERC电池背部钝化膜的保护,同时由于其光学特性,还可以实现PERC电池正面和背面的减反效果。
  祝融(ZR)系列PEALD二合一沉积系统产品集成了PEALD与PECVD技术,在PERC电池TOPCon电池中均已实现产业化应用。
  在PERC电池中,客户可以在同一设备中采用两种不同技术完成对PERC电池背面Al2O3和SiNX的沉积;在TOPCon电池中,客户在同一设备中可连续完成对TOPCon电池超薄SiOX隧穿层和掺杂多晶硅薄膜的制备。该产品解决了行业内多项技术瓶颈和难题,并推动了PECVD技术路线的大规模量产。
  羲和(XH)低压扩散炉系统产品采用自主研发的超高温热场控制技术,实现对硅片的掺杂,以及实现兼容磷、硼两种扩散工艺,目前已实现产业化应用。
  以上三种光伏系列产品中夸父(KF)系列设备在光伏领域PERC电池中的AL2O3工艺和SiNx工艺、TOPcon电池正面AL2O3均已实现产业化应用。其中下游客户通过公司的ALD设备在电池片表面制备AL2O3膜实现钝化效果,已达到更高的光电转化水平。
  光伏电池片技术路径的迭代为公司的ALD设备带来需求增量。2021年以来,TOPCon技术路径迎来加速渗透,而钝化技术趋势方面,ALD亦在逐步取代PECVD成为主流钝化技术,尤其是TOPCon电池正面钝化中几乎以ALD技术为主。
  PERC中背面Al2O3镀膜使用PECVD和ALD设备镀膜效果差别不大,但在TOPCon电池正面(具有金字塔结构的绒面)Al2O3钝化层的制备中,PECVD的生长速率快可能会导致钝化效果略差于ALD,且ALD技术具有优异的保形性且薄膜材料密度一致,因此成为TOPCon电池正面Al2O3钝化层的主流技术路线。微导纳米ALD设备在新型电池产线中具备技术优势。
  在TOPCon电池隧穿层即氧化硅层的沉积工艺中,ALD技术更具优势。公司开发出了ZR5000×2PEALD"二合一"产品,创新性的将ALD技术应用于氧化硅层的制备,能够连续完成TOPCon电池的背膜结构(隧穿氧化硅/原位掺杂多晶硅)镀膜。
  跟高温热氧化法、等离子体氧化法相比,采用ALD技术可以获得超薄(<2nm)、大面积均匀性、致密性好、无针孔的氧化硅层;在PERC电池背面及TOPCon电池正面的氧化铝和氮化硅叠层的制备中,公司开发的PEALD二合一平台能够在同一台设备中完成两种薄膜的制备,除了能提高薄膜质量以提供更好的钝化效果之外,还有效降低了客户单位产能的设备投资成本。
  除ALD外,在其他薄膜环节,公司亦有丰富且完备的产品线,在SiNx、AIOx层有夸父(KF)系列原子层沉积系统,在硼扩层有羲和(XH)系列低压工艺系统,以及在TunnelSiO2、Poly-Si(n)、SiNx层有祝融(ZR)系列、夸父(KF-P)系列产品。
  得益于TOPCon的加速渗透,公司订单大幅增长,截至2022年Q3光伏在手订单约50%来自TOPCon,获得了如无锡尚德、通威、晶科能源等多个项目的设备订单。而XBC亦贡献了约35%的在手订单,客户覆盖隆基、爱旭等项目。
  4、募投项目分析
  微导纳米本次募投项目总额116543.56万元,拟使用募集资金金额为10亿元,募集资金扣除发行费用后将用于3个项目:基于原子层沉积技术的光伏及柔性电子设备扩产升级项目、基于原子层沉积技术的半导体配套设备扩产升级项目、集成电路高端装备产业化应用中心项目。
  基于原子层沉积技术的光伏及柔性电子设备扩产升级项目:基于公司现有ALD设备产线进行升级扩产,开发适用于光伏、柔性电子的ALD设备,新增年产120台ALD设备的生产能力,总投资规模为2.64亿元。项目建设期2年,利用现有租赁厂房进行改造建设。
  基于原子层沉积技术的半导体配套设备扩产升级项目:基于公司现有ALD设备产线进行升级扩产,开发适用于半导体的ALD设备,新增年产40套ALD设备,总投资规模为6.33亿元。项目建设期拟定3年,利用现有租赁厂房进行改造建设。
  集成电路高端装备产业化应用中心项目:设集成电路高端装备产业化应用中心,推动基于ALD技术的集成电路高端制造装备产业化应用,总投资规模为1.18亿元。
  5、盈利预测与总结
  5.1 盈利预测假设与业务拆分
  公司报表将主营业务分为四类:光伏设备,半导体设备,配套产品及服务,其他业务。我们分别作以下预测:
  光伏设备:受益下游扩产景气度上行,公司2022年光伏业务订单大幅增长,我们预计将为2023年带来较高的收入增量。预计2022-2024年收入同比增长78.0/113.2/41.4%。
  毛利率方面,公司2021年起PECVD等新产品放量,初期毛利率较低,预计未来逐步提升,并且高毛利率的ALD业务在2023年有望大幅增长,占比提升,因此预测2022-2024年光伏设备业务毛利率31.7/39.3/41.3%。
  半导体设备:2021年实现首台销售,伴随下游客户验证逐步推进有望逐渐起量,预计2022-2024年收入同比增长109.5/57.0/37.2%。
  毛利率方面,由于2022H1实现销售的真空传输系统毛利率较低,拉低了该业务2022年毛利率,预计未来半导体业务整体毛利率趋于稳定,2022-2024年分别为47.5/46.8/47.1%。
  配套产品及服务:主要包括设备配件和设备改造,2021年客户产线改造,产生较大的设备改造收入,具有一定偶然性,我们预计未来该业务收入伴随公司业务规模稳步增长,2022-2024年同比增速分别为-52.8/16.7/14.3%。
  毛利率方面,早期业务体量较小,毛利率波动较大,未来预计趋于稳定,预计2022-2024年分别为67.0/67.0/67.0%。
  其他业务:主要为出售废品废料业务,占比较低,预计随总体收入规模扩大而稳定增长,预测2022-2024年收入同比增长40.0/30.0/30.0%。毛利率预计维持在50%的稳定水平。
  5.2 费用率预测
  销售费用:公司2020-2021年销售费用呈下降趋势,主要由于收入增长摊薄费用率。基于收入高速增长的假设,预计未来销售费率将继续摊薄,2022-2024年销售费用率分别为7.6/7.5/7.4%。
  管理费用率:2022年Q1-Q3管理费用率较2021年有所增加,主要因为人员规模大幅增长。预计未来伴随收入增长,管理费用率摊薄,2022-2024年管理费用率分别为7.0/6.8/6.5%。
  研发费用率:公司2021年研发费用率高达22.7%,未来有望在保持高投入的基础上有所摊薄,预计2022-2024年研发费用率分别为20.0/18.7/18.0%。
  财务费用:公司无长期借款,2020-2021年财务费用主要为短期借款利息支出。考虑IPO融资获得的现金存款利息增加利息收入,预计2022-2024年财务费用率分别为-0.1/-2.0/-1.1%。
  5.3 估值分析
  公司主业为光伏薄膜设备和半导体薄膜设备,我们选取了同为薄膜设备公司的盛美上海(炉管设备)、拓荆科技-U(ALD和PECVD设备)、中微公司(刻蚀和LPCVD设备)作为同行业可比公司,同行业可比公司2022-2024年的PE均值为73/52/39倍,我们预计微导纳米2022-2024年EPS为0.07/0.26/0.44元,对应现价PE 398/107/63倍,高于同行业均值。
  但是考虑到公司公司是国内首家同时量产光伏+半导体ALD设备的厂商,具有较高的稀缺性,ALD设备的较高技术难度也带来竞争壁垒,高估具有合理性。
  此外,我们预计微导纳米2022-2024年利润增速将远高于行业平均水平,2023 PEG为1.56倍,与同行业均值接近,因此我们认为公司当前估值处于合理水平。
  5.4 总结
  微导纳米作为国内光伏ALD龙头企业,在光伏设备领域持续拓展产品线,在半导体ALD领域亦实现突破。6、风险提示
  1)技术迭代风险。如果公司未能准确理解下游客户的产线设备及工艺技术演进需求,或者技术创新产品不能契合客户需求,如无法持续提供满足电池降本增效需求的产品、无法响应新型高效电池(TOPCon、HJT 等)或半导体制造工艺制程继续提高等新的应用需求,可能导致公司设备无法满足下游生产制造商的需要,从而可能对公司的经营业绩造成不利影响。
  2)下游扩产不及预期的风险。在光伏领域,新型高效电池如TOPCon、HJT在2022年以来扩产计划加速,但因技术成熟度、投资成本等限制性因素,规模化量产尚存在不确定性。
  在半导体领域,我国在先进制程的设备制造产业起步较晚,目前国内先进产线关键设备的国产化仍处于起步和发展阶段。如果国内新型高效电池和先进制程晶圆制造产线发展不及预期,公司未来销售增长将受到限制。
  3)新产品验证进度不及预期的风险。公司薄膜沉积设备主要应用于光伏电池片、半导体晶圆的生产环节,直接影响光伏电池片的光电转换效率以及半导体器件性能,是下游客户产线的关键工艺设备。
  因此,客户对公司新产品的验证要求较高、验证周期较长,公司用于新型高效电池和半导体各细分领域的新产品存在验证进度不及预期的风险。
  ——————————————————
  报告属于原作者,我们不做任何投资建议!如有侵权,请私信删除,谢谢!
  精选报告来自【远瞻智库】藏经阁-远瞻智库|为三亿人打造的有用知识平台

高拉特放弃9百万月薪加盟巴甲内马尔母队56万月薪,你怎么看?高拉特放弃两亿加盟内马尔母队,广州队多名球员合同到期未来未定导致的一个原因。广州队大量的非血缘归化球员离队,最让人意外的还是属于高拉特。这是一位在2015年和2019年两次来到球队上海vs北控,两队教练吵架成焦点,李春江和马布里是什么仇,什么怨?李春江管理球队有那一点亮点,但也不可以场场都与裁判和其它的产生许多不和谐的因素,难道别人都真对你,找找个人原因吧,这样丢人从高高在上的N冠教练被老马带领菜鸟球队打成流浪狗,你说什么骨导助听器好还是一般助听器好?骨导助听器是给外耳道不能正常使用一般助听器的人使用的。骨传导助听器一般的类型有眼镜式和头夹式,适用于外耳道闭锁狭窄等或者适合于中耳有先天畸形慢性化脓性中耳炎反复化脓传导性听力损失量因下岗养老金断交,现在该如何办理退休手续?对于1970年出生的女性,今年已经50周岁了,那么如果是工人身份,正常已经可以办理退休了。但是我国办理退休有两个最基本的条件,除了到达退休年龄,还需要缴费年限达到15年,这点对于不当年东决魔术淘汰骑士,霍华德场均25分13板,詹姆斯数据如何?本赛季,霍华德时隔7年再次回到湖人队。时过境迁,这里的一切都变了,他自己也变了。7年前,霍华德的年薪高达2000万,而本赛季,他的年薪仅200多万而当时湖人的老大还是科比,现在湖人鲁能vs一方赛后,双方球员还没握完手就吵了起来,当时发生了什么?中超第21轮,山东鲁能客场34惜败于大连一方。比赛结束之后,双方球员进行握手仪式,还没握完手双方球员就又纠缠在一起。尤其是鲁能队的佩莱冲在了最前面。这件事情起因是因为大连一方队的主为什么很多人都不害怕詹姆斯?怕,为什么要怕?都是凭本事在NBA打球,靠能力吃饭,你詹姆斯再怎么样也是历史,再说也没怎么样!正在熬40000分,正在熬的所谓历史第一人!打起球来美如蟹,在三个队拿总冠军及fmvp混双林高远和王曼昱组合被拆开,王楚钦和孙颖莎混双能顺利夺冠吗?圆满组合被拆开了,大头和莎莎成为了唯一一对中国混双组合。孙颖莎王楚钦仅仅以15号种子身份参赛,同区的劲敌有张禹珍田志希弗朗西斯卡索尔佳林昀儒郑怡静。东京奥运会冠亚军伊藤美诚水谷隼刘基恩预言成真!赶走穆帅的人又赶走索帅!下一个赶走的会是谁呢?基恩预言过什么我不知道,但我知道莫耶斯,范加尔,穆尼里奥都是被曼联名宿喷子们喷走的。这里面资历最浅的莫耶斯来曼联前执教埃弗顿,杀进英超四强,现在带铁锤帮在英超任何人不敢忽视,另外俩助听器戴了快一个月,还是听不清,是不是助听器白买了?1请问有没有正确去佩戴和使用助听器,助听器的适应有一个循序渐进的过程,有没有每天坚持去佩戴,刚开始不建议使用时间过长,要随着自己的适应能力增强而逐渐增加使用时间,刚开始不建议去嘈杂买彩票中大奖的人,后来都怎样了?这个问题挺有趣,买彩票中大奖的人,后来都怎样了?这个事情还很难说,因为这个必须因人而异,但是就我知道的和了解到的一些人反正结局都不太好!先说第一个例子吧!这个人中奖前是卖猪肉的某一
这届春晚算是把谐音梗玩6了!你最喜欢的梗是哪个?中新网北京1月22日电(刘越)今晚看了春晚的观众一定会不约而同地诞生一个想法这届春晚算是把谐音梗玩6了。您瞅瞅,这开场歌舞就是花开种花家,种花家谐音中华家,主持人还现场科普,在古代春晚6个名场面张若昀反差大黄渤9年审美不变周深痛并快乐着今年的春晚有惊喜也有瑕疵,总体来说还是给了观众许多意想不到的效果,许多第一次登上春晚的艺人,在这么大型的舞台上,也有不错的表现,在过去每年的春晚,都会贡献出许多名图,今年也不例外。搭配网上的各种段子,春晚别具一番风味娱兔迎春除夕最重要的节目,就是全家一起看春节联欢晚会了,今年也不例外。可是我发现比节目更好看的是网上各种段子,简直要把我给笑死了。春晚配段子,简直绝配!先来看看咱们主持人这个女主持遗憾!今晚她要失约了1月20日晚间,央视春晚节目单发布。随后,今年春晚没有李谷一的话题登上每经热榜第二位。李谷一领唱,难忘今宵在共祝愿祖国好的歌词里画上句号对亿万观众而言,这是春晚不变的记忆。1983陈冠希和梁朝伟刘嘉玲夫妇聚会,影帝当天穿的衣服却引起网友热议1月16日,许久不露面的陈冠希回到香港,和梁朝伟刘嘉玲三人,之后刘嘉玲在社交平台晒出了聚会上的三人合影。然后就有眼尖的网友发现,梁朝伟的这件蓝色衣服有点眼熟。往前翻一翻才发现,忍不东方卫视过年晚会,千年老二林海终举大旗,司雯嘉百克力辅佐又是一年过大年,在经历了三年抗疫生活后,老百姓的生活终将走上正常。而作为一年一次的东视过年晚会,也在悄悄地发生着改变。作为东视娱乐一哥的曹可凡,终于在舆论的压力退出了本次的主持群。45岁张译照顾植物人前女友10年,甘心做配10年,终成大器张译新剧狂飙上线不过短短一周,就直接处于暴走模式。除了是符合时代背景的扫黑除恶题材外,还因这部剧集结了包括倪大红张志坚吴刚韩童生等一大批实力派老戏骨,演员阵容强大,丝毫不亚于人民的春晚总导演都怪腾哥(沈腾)!春晚今晚突发状况,启动了预案!天南地北大拜年今年春晚总导演于蕾此时此刻,正在播放的央视新闻频道春节特别节目一起看春晚,一起过大年里正在采访2023兔年春晚的总导演于蕾和副导演邹为,主持人王宁询问今晚(春晚)有没无需裁判出头!细数NBA无罚球单场得分排行现役小将0罚球50分!罚球是篮球场上最轻松的得分方式,很多每个球员都希望自己能够站在罚球线上来得分,但这并不意味着不罚球,球员就得不到高分,在NBA历史上,有很多球员一场比赛0罚球,但仍砍下了高分,本文辽宁队想夺冠需做出抉择,郭艾伦杨鸣只能二选一,杨鸣下课最合适伤病可以摧毁一支球队,伤病也能让原本只是小弟的球员得到上场机会迎来职业生涯巅峰,比如林书豪,谁也没想到这个连房子都租不起要蹭队友沙发睡的边缘人物竟然能在NBA刮起一阵旋风,挽留纽约山东泰山是一支伪强队?为什么他们夺冠无数,仍然不如广州恒大?做为老牌球队,山东泰山历史悠久,从中甲开始,他们一直是实力超群的强队,虽然经历多次股改,但是他们依然有中超霸主气概,他们夺得冠军也特别多,用数不过来形容一点也不为过,可还是有球迷认