范文健康探索娱乐情感热点
投稿投诉
热点动态
科技财经
情感日志
励志美文
娱乐时尚
游戏搞笑
探索旅游
历史星座
健康养生
美丽育儿
范文作文
教案论文
国学影视

可预置串行序列信号发生器设计与实现

  实验目的
  进一步了解时序电路描述方法
  二.重点和难点
  VHDL 语言中时序设计基础
  VHDL 语言中同步时序设计
  三.设备器材
  稳压电源,  万用表,  示波器, 计算机  实验板一块,Quartus2,Synplify8.1
  四.源程序
  library ieee;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_arith.all;
  entity produce is
  port(
  clk:in std_logic;        ——定义时钟变量         sw:in std_logic_vector(7 downto 0);  ——定义输入变量
  ld5,ld8:out std_logic         ——定义输出变量
  );
  end;
  architecture produce_arch of produce is
  signal t:integer range 0 to 9;
  signal k:std_logic;           ——定义敏感变量
  begin     begin
  if(bnt2="1") then t<=0;
  elsif(bnt1="1") then t<=1;          elsif(clk"event and clk="1") then    elsif (t=
  9) then t<=9;
  elsif (t>0) then t<=t+1;  ——确定时钟周期,即时序脉冲的长度
  end if;
  case t is
  when 0=> null;
  when 1=>ld5<=sw
  (7);
  when 2=>ld5<=sw
  (6);
  when 3=>ld5<=sw
  (5);
  when 4=>ld5<=sw
  (4);
  when 5=>ld5<=sw
  (3);
  when 6=>ld5<=sw
  (2);
  when 7=>ld5<=sw
  (1);
  when 8=>ld5<=sw(0);
  when 9=>ld5<=k;
  when others=>null;    ——时序信号赋值
  end case;
  end if;
  end process;
  ld8<=clk;
  end;
  五.思考题:
  [预习思考题]
  1) 怎样在本次设计里面体现出顶层设计和底层设计(解释一下你
  的功能划分和端口定义)?
  clk: 时钟输入;
  btn1:异步控制输入,控制序列脉冲发生的开始
  btn2:异步控制输入,异步复位;
  Sw:脉冲输入信号,完成序列脉冲的预制
  ld5:脉冲输出与奇偶校验结果输出
  Ld8:时钟信号输出;k: 信号敏感中间变量;
  t:敏感信号变量,确定输出序列长度
  2) 怎样保证第一位数据的输出时间与其他数据位的输出时间相
  等?
  答:采用同步上升沿的信号输入控制。
  六、实验内容:
  用VHDL 描述一个8 位同步并行预置,串行输出带奇偶校验位的
  序列信号发生器,完成编译、仿真和下载。
  要求:
  1) 输入用8个拨码开关SW1~SW8 预置要移位的数,最高位为
  SW1,最低位为SW8
  2) 用按键BTN1 作为预置并开始按键
  3) 用按键BTN2 作为系统复位键
  4) 输入时钟选择LOW
  5) 用发光二极管LD8 显示输入的时钟信号,利用这个发光二极
  管调节输入时钟的频率为0.5Hz 左右,即亮1 秒,灭1 秒
  6) 用发光二极管LD5 显示输出的序列信号,要求先输出最高位,
  最后停留在奇偶校验位上
  7) 按下开始键后依次显示拨码开关的各位数,最后显示奇偶校验
  结果
  8) 前八个数据位每个输出位都要保持相同的时间,最后显示保持
  在校验位上。特别要注意第一位数据的输出时间。
  七、实验步骤
  1、编写源程序并进行编译调试检查仿真逻辑电路图。
  2、编辑输入电平形式,观察时序仿真波形图,检查输出电平与输入电平之间的关系是否满足电路要求。
  3、检查无误后下载电路,按规定输入合适二进制数码,检验数码管是否输出正确数码。
  八、实验问题与分析解答
  1、实验中发现在第二次预制的信号开始前奇偶校验结果无法保持。
  分析:源程序中奇偶校验输出的赋值语句位置不恰当。
  解决:修改源程序,将奇偶校验输出的赋值语句加在输入开始的控制脉冲高电平来临时。
  九、实验总结与结论
  总结:本次实验是一个比较综合性的实验,主要是以时序逻辑的设计为主,要求电路在不同的时段,输出的不同的量。既练习了时序计数的方法,也练习了奇偶校验器的原理编程,同时要求有较好的进程逻辑。
  结论:完成了实验的任务要求,输出准确地显示了时序脉冲信号和奇偶校验的结果,同时也达到了对时序逻辑的设计的掌握的目的。

动商军事体能训练理论的一个重要支撑摘要本文运用文献资料法对动商的概念,动商渊源进行了梳理分析,结合军事体能训练的模拟优先服从迁移与极限理论,从身体机能运动技能运动心理及运动能力等方面,找到动商与军事体能训练理论的切建立中国自己的人口学理论摘要改革开放以来,中国逐渐走上了一条独特的人口生产与人口流动人口控制与人口教育的发展道路,这为突破传统西方人口学科话语体系局限建立自己的人口学理论体系解决中国人口问题提供了实践基础外来生物入侵现象的公众环境意识调查与分析摘要环境意识是环境保护的思想基础,其水平的高低直接影响着人们环保行为的自觉程度。针对珠三角沿海地区越来越严重的外来生物入侵的环境现象,本研究依据广东省惠州市博罗县为中心的公众环境意血清TPSAFPSAFT在前列腺疾病诊断中的意义摘要目的探讨总前列腺特异抗原(TPSA)游离前列腺特异抗原(FPSA)FPSA与TPSA比值(FT)在良性前列腺增生(BPH)与前列腺癌(PCa)诊断中的作用。方法检测90例BPH29例中晚期胃癌腹腔温热灌注治疗的体会关键词中晚期胃癌腹腔温热灌注胃癌是我国发病率较高的恶性肿瘤之一,目前手术仍是主要的治疗手段,再配合以术中术后的化疗及放疗。尽管近些年对胃癌的认识不断提高,但仍对术后的复发及转移难以我国全科医学的发展路径分析我国的新医疗改革的提出,已经是中国的全科医学得到了大力的发展,国家将基础医疗服务和全科医学的发展放在了国家医保的核心地位,并成为未来发展的重点。但是由于我国的医学发展刚刚起步,在发不同治疗时机对妊娠梅毒的预后影响研究关键词治疗时机妊娠梅毒预后影响KEYWORDScurativeopportunitypregnantsyphilisprognosiseffect1资料与方法1。1临床资料。3统计乳腺癌术后患侧上肢功能障碍的护理目前治疗乳腺癌的最佳方案仍为手术疗法,手术作为重要的治疗手段难免损伤正常血管神经和肌肉,使部分患者出现肩关节僵硬肌肉粘连肌肉萎缩等并发症,造成患侧上肢功能障碍,影响患者日常生活。随从市场化运作的图书借阅服务探寻公共图书馆的服务能力提升空间摘要从市场化运作的图书借阅服务中寻找服务亮点,对比发现公共图书馆服务的差距与不足,提出提升公共图书馆服务能力的具体建议。关键词公共图书馆借阅服务市场化能力提升1目前存在的市场化运作关于三个代表重要思想历史地位的若干问题摘要题本期聚焦关键词ldquo三个代表rdquo重要思想邓小平理论历史地位科学评价正文一般地说,弄清楚一个革命的思想学说理论的历史地位至关重要,因为惟有如此,才能深刻地理解它,始终邓小平理论产生的历史条件论文摘要还在为写论文而发愁吗?品学网论文网为你编辑了邓小平理论论文,希望能帮助您写论文,供您参考!20世纪的前半个世纪,两次世界大战各国革命民族解放运动使得全球风云变幻,以革命和战争为
农村小学课堂教学有效性的策略农村小学课堂教学有效性最为关键的问题就是如何对课堂教学体制进行改革提高课堂教学的有效性主要是提高教学水平和教学质量。农村小学教育具有一定的特殊性,所以要对其进行全面的分析,为课堂教如何上好小学美术手工课手工是一种对材料进行加工的造型艺术。手工课是小学美术教学的重要组成部分,是提高学生劳动技能的重要途径。学生可以运用各种材料,通过自己的双手或简单的辅助工具,按着自己的意愿,制作出各如何培养小学生的运算能力运算能力在小学阶段甚至在初中阶段的数学学习中都是十分重要的,这不仅仅是新课标的要求,还关乎到一个学生在考试中甚至平常写作业的过程中准确率和速度的问题。运算能力在日常生活中也是不可避对小学生进行审美教育的重要性美是一个很大的概念,它不仅包括主观的目所能及的美,还包括一些隐形的美,比如美好的心灵还有一些美好的事情,美和丑恶是对立的,一些善良的纯洁的真能量的事物,都可以算的上美。那么,一个人小学班主任怎样加强德育工作的开展德育工作在小学生教育中是十分重要的,小学是学生素质的形成时期,只有在小学阶段加强学生的德育教育,才能使学生在以后的学习中形成良好的行为习惯。而德育工作,自然而然的就落到和学生接触最竖笛校本课程的实践探究0引言在我国新课程改革的过程中,校本课程是其中重要的组成部分。校本课程为小学长期的先办学理念和教育创新提供了广阔的发展空间。当前,小本课程的运用已经盛行于世界的各个国家。特别是关于关于秦始皇兵马俑的课程探讨一教材分析秦始皇兵马俑属于三年级上册的最后一课19课,属于欣赏评述领域,对学生来说是有一定难度的。但是呢,因为前一节课中国彩陶欣赏,学生已经初步通过感受欣赏和表达的活动方式体会古代动画片对小学生价值观形成的影响研究动画片通过简明的语言生动直观的视觉冲击给孩子们提供了一个开阔视野学习知识领悟道理的平台,但是由于小学生认识水平发展的程度还不足以对动画内容进行筛选,模仿能力又极强,部分动画片中的不课堂互动一种应当面向和关注全体学生的教学行为义务教育数学课程标准指出学生是数学学习的主人,教师是数学学习的组织者引导者与合作者。因此,在数学课堂上如何正确发挥教师的主导作用体现学生的主体地位,有效处理课堂互动关系显得尤为重要浅谈小学写字教学中存在的问题及对策一教师对田字格的认识不够简单说,田字格是规范汉字楷书书写的模板,田字格是练楷书用的(有些学校的学生在田字格里练行书)。楷书要求的是横平竖直,但又不是绝对平直的,田字格中的横中线和竖小学班主任怎样加强德育工作的教学在一个人的学生生涯中,小学时代是在学校度过的时间最长的时代,学校的接触,算是小学生除过家庭成员之外的最多的接触,尤其是班主任可以说是除了父母之外对学生离学生最近的人,所以,小学班主