范文健康探索娱乐情感热点
投稿投诉
热点动态
科技财经
情感日志
励志美文
娱乐时尚
游戏搞笑
探索旅游
历史星座
健康养生
美丽育儿
范文作文
教案论文
国学影视

Cadence的功能仿真工具ncverilog,你了解它吗?

  作为IC从业者,大家都清楚有三家EDA公司,肯定是绕不过去的,它们分别是Mentor Graphics、Synopsys和Cadence。其中Cadence是一家坐落于美国加州的EDA公司,其产品覆盖了电子设计的全流程。今天我们先来聊一聊这家公司的一款功能仿真工具ncverilog。
  国内的微电子或者电子专业的学生,一般在学习verilog HDL时候,首先接触的第一款的功能仿真工具是Mentor Graphics的modelsim,并不是ncverilog。但是随着后面设计越来越复杂,我们发现modelsim在仿真的时候,速度越来越慢,所以基本上现在的公司里面,都用ncverilog做功能仿真。
  当然,modelsim相比ncverilog,具有界面更友好,支持verilogVHDL混合编译等优点,所以在学习阶段使用是比较合适的。两者的对比,不是今天文章的重点,不再赘述。
  ncverilog支持命令行和图形化两种方式,在实际工作中,我主要以命令行方式进行功能级仿真,用图形化的simvision进行debug调试工作。今天的文章我们主要介绍命令行的使用方法以及参数的含义。
  ncverilog的仿真运行过程
  当我们完成RTL的编写,就要使用ncverilog进行功能仿真,看功能是否符合我们的设计预期。那么在使用之前,我们首先来了解一下用ncverilog进行仿真的整个模拟过程。
  一般在命令行,我们使用以下命令格式,就可以完成ncverilog的调用:
  ncverilog +option
  其中option的内容很多,我们稍后再解释。我们首先来解释一下ncverilog这条命令背后的故事。
  实际上,这里的ncverilog可以分解成ncvlog+ncelab+ncsim三个命令。ncvlog.ncvlog实际上是进行compile的过程,将我们的设计代码(verilog HDL或者VHDL)进行编译,生成一种中间形态的存在形式,并且同时生成一个较大的文件夹INCA_libs,仿真完成之后,为了节省空间,可以删去。(个人类比这个过程为Design Compiler综合时,生成的GTECH类型,两者其实不同,不过在这里这么理解一下无妨)ncelab.实际上进行elaborate的过程,将ncvlog过程中生成的中间状态,构建出一个电路的结构(对应Design Compiler中的elaborate过程)。后面的仿真模拟就是在这个电路结构上面进行。ncsim.这才是开始仿真模拟,真正的启动命令。
  在学校的时候,老师为了让我们理解整个过程,一般让我们在使用的时候,分成以上三个命令来调用ncverilog,虽然繁琐,但是对我们理解这个工具,确实是大有裨益的。
  option介绍
  在使用ncverilog的时候,我们需要在后面添加很多的option,今天我们来介绍几种常用的option。gui.显示图形界面。如果添加这个option,可以显示ncverilog的图形化界面。access+rwc.设置我们读取的文件权限为可读、可写、可连接。notimingcheck.不进行时序检查。一般在我们设计中或者SRAM中都考虑时序,但是我们在功能仿真阶段,一般对时序部分是不关心的,所以在这种情况下,我们可以使用这个option,不进行时序检查,可以加快仿真速度,减小内存开销。define+.一般在设计中,我们会添加很多的define,可以在这里设置打开。timescale.可以设置仿真精度以及仿真单位参数。
  比较常用就是上面这些,还有很多其他option,大家在使用的时候,可以查询ncverilog相关的资料。所以经过上面的介绍,我们就可以写出一条调用ncverilog的命令:
  ncverilog +access+rwc +notimingcheck -f file.f
  其中,file.f为我们设计的RTL list。
  小结
  今天这篇文章,主要是向大家介绍了ncverilog的运行过程,以及一些常用的option,希望对刚刚接触ncverilog的同学,会有一点帮助。
  另外,对于其他的EDA工具,在后面的文章中,我也会不定时给大家介绍,希望大家继续关注。
  对上面的内容,欢迎大家和我进行讨论。

致远互联亮相2021数博会COP赋能企业数智升级日前,由工业和信息化部河北省人民政府主办的2021中国国际数字经济博览会(以下简称数博会)在河北省石家庄市落幕,本次大会以创新发展与数字经济为主题,全力打造国家级国际化数字经济交流致远互联签约创新型科技企业山东有人物联网近日,中国协同管理软件及云服务领先厂商致远互联(688369。SH)正式签约国家高新技术企业国家专精特新小巨人企业山东有人物联网股份有限公司(简称有人物联网),通过协同运营平台CO致远互联协同应用大赛走进云南建投协同演绎七强争霸日前,以COP释放数字生产力为主题的第11届致远互联协同应用大赛在全国各地陆续上演。9月15日,大赛走进云南省建设投资控股集团有限公司(简称云南建投集团),集团下设50余家二级单位数字化转型先行者派昂医药2020年,陕西医药控股集团派昂医药有限责任公司(以下简称派昂医药)西北现代医药物流中心正式投入运营,该物流中心仓库总面积9。9万平方米,储货量115万件,可支持年220亿元的销售面对竞争白热化,勘察设计企业如何发挥工程设计本质优势?勘察设计是典型的技术和人才密集型企业,作为建筑业产业链上的前端环节,承担着工程建设项目的咨询服务职责,在经过事业制转型企业化推行全过程工程咨询服务(PMC)工程总承包服务(EPC)泰晤士世界大学榜内地百所高校入围近14为致远互联用户日前,泰晤士高等教育特刊(简称THE)发布最新2022年THE世界大学排名,共有147所中国高校入围榜单,包括内地高校97所,港澳台高校50所,其中清华大学和北京大学进步明显,并列致远互联携手飞书共探未来组织8月26日,致远互联联合飞书共同举办未来组织体验日,在参访交流中,双方以协同为视角,共探数字化时代下高绩效组织背后的运营理念运作机制与协作创新。致远互联副总裁营销体系总经理马文认为小心别把数字化转型做成了数字化转圈用传统的信息化思维去理解和实施数字化转型,就好像开着飞机却用地面驾车的地图来导航一样既辜负了新技术的优势错过了更快发展的机会,又重复走了老路还可能用更高的效率重犯了一遍老的错误。中致远互联政务低代码大赛创新方案智慧司法前言为直观展现致远互联协同云应用场景技术优势以及数字化价值,激发更多伙伴业务创新能力,共同推动数字政务加速建设,致远政务首届低代码应用大赛正式开启,面向广大生态伙伴征集优秀的政务创数字化转型先行者泰达物流经营之初,制度先行,这是企业管理中的一个基础理念和常识,对物流企业而言,更是如此,如何建立从货主承运商司机再到客户的一站式全链接,如何配载装卸,保障货物安全快速到达。。这往往需要一天瑞集团构建智慧协同平台打造数字天瑞天瑞集团始创于1982年,目前已发展成为集建材铸造文旅互联网科技等为主体的综合性企业集团。天瑞集团以工业互联网创新发展行动计划为目标,建设天信工业互联网平台,走出了一条传统制造业数
被问麻了,Spring如何处理循环依赖?前言Spring如何处理循环依赖?这是最近较为频繁被问到的一个面试题,在前面Bean实例化流程中,对属性注入一文多多少少对循环依赖有过介绍,这篇文章详细讲一下Spring中的循环依Uber单季营收68。5亿美元净亏59亿美元被滴滴Grab拖累雷递网乐天5月19日报道打车软件巨头Uber在2021年营收为174。55亿美元,上年同期的营收为111。39亿美元运营亏损为38。34亿美元,上年同期的运营亏损为48。63亿美元京东618将于23日晚8点开启手机买贵享1。2倍价保赔付5月20日,以人间烟火气与有责任的供应链618京东和你在一起为主题的京东618启动发布会通过线上直播形式举行,正式拉开了2022年京东618的序幕。今年京东618将于5月23日晚8iOS微信8。0。22正式版发布了,带来了多个新变化iOS微信的上一个版本是8。0。20,过去大概一个月时间,终于迎来了新版本更新。本次更新微信跳过了8。0。21版本,直接发布了8。0。22版。我们来一起看看有什么新变化吧!更新内容问界M5用户换华为标?小康股份董事长回应用户体验是锻造好产品的基石李嫒嫒中国证券报中证网中证网讯(记者李嫒嫒)在5月20日召开的股东大会上,小康股份董事长张正萍对近期市场颇为关注的问界M5用户换华为标作了回应。他坦言,产品好不好,市场说了算,如果马斯克称中国经济规模将达美国两三倍马斯克称中国经济规模将达美两三倍埃隆马斯克当地时间16日晚上,在接受媒体采访时表示世界第二大经济体中国,有朝一日将让美国的产值相形见绌。经济总量会达到美国两倍,也可能三倍。为了与中电车真的比燃油车省钱吗?随着时代的发展,新能源汽车的推行,油价的节节攀升,纯电动车进步迅速,越来越多的人开始考虑新能源车,包括小编自己在内,去年也把油车置换成了电车。很多人说充电比起加油四舍五入那几乎等于零排放零油耗节能好选择领克01PHEV到PHEV,大家的DIYI反应续航不行充电慢等等而领克推出的PHEV系列(参数丨图片)则完美解决了新能源汽车的这些弊端,让你想买PHEV时再也不用纠结了。PHEV车型近几年已经成为想要入手一台随身WiFi,该怎么选择?今天给大家带来的都是干货!!!!!首先,现在5G虽然火爆,但是5G套餐和5G信号的普及还是需要一段时间的,这里先建议大家选择4G的随身WiFi。就目前来讲,相比于5G的随身WiFi主驾无人车队在京扩容,百度再获无人化载人牌照近日,北京继发放中国首批无人化载人示范应用通知书后,再次向百度颁发了新一批无人化载人牌照。目前百度在北京方向盘后无人自动驾驶车辆从首批10辆增加至目前20辆,后续计划再增加20辆,小巧又实用开箱绿联100W智充魔盒Pro大家好,我是高达。购物网站逛多了,买了很多数码产品回来。我已经算比较克制的入门级数码UP了,但桌面上也少不了游戏机手机打印机笔记本等。所以经常会遇到一个问题插座不够用,比如下面这样