范文健康探索娱乐情感热点
投稿投诉
热点动态
科技财经
情感日志
励志美文
娱乐时尚
游戏搞笑
探索旅游
历史星座
健康养生
美丽育儿
范文作文
教案论文
国学影视

D触发器(DFF)详解

  D触发器的逻辑功能D触发器的逻辑符号
  把 CP 有效沿到来之前电路的状态称为现态,用QnQ^nQn表示。
  把 CP 有效沿到来之后,电路所进入的新状态称为次态,用Qn+1Q^{n+1}Qn+1表示。特性表
  D
  QnQ^nQn
  Qn+1Q^{n+1}Qn+1
  0
  0
  0
  0
  1
  0
  1
  0
  1
  1
  1
  1特性方程   Qn+1=DQ^{n+1} = DQn+1=D状态图   有清零输入和预置输入的D 触发器   由于直接置1和清零时跟CP信号无关,所以称置1、清零操作是异步置1和异步清零。   直接置1和直接清零的过程如下:   (1) 当 SˉD=0bar{S}_{D}=0SˉD=0, RˉD=1bar{R}_{D}=1RˉD =1 时, 使得 Y1=1Y_{1}=1Y1 =1 , Sˉ=Y1 CP RˉD‾=CP‾bar{S}=overline{Y_{1} cdot C P cdot bar{R}_{D}}=overline{C P}Sˉ=Y1 CP RˉD =CP, Rˉ=Sˉ CP Y4‾=1quad bar{R}=overline{bar{S} cdot C P cdot Y_{4}}=1Rˉ=Sˉ CP Y4 =1 ,于是 Q=1Q=1Q=1, Qˉ=0bar{Q}=0Qˉ =0 , 即将输出 Q 直接置 1 。   (2) 当 SˉD=1bar{S}_{mathrm{D}}=1SˉD =1, RˉD=0bar{R}_{mathrm{D}}=0RˉD =0 时, 使得 Sˉ=1bar{S}=1Sˉ=1 , 于是 Q=0Q=0Q=0, Qˉ=1bar{Q}=1Qˉ =1 , 即将输出 Q 直接清零。   有同步清零端的 D 触发器   所谓同步清零是指在清零输入信号有效,并且CP的有效边沿(如上升沿)到来时,才能将触发器清零。   (a) 实现同步清零的方案之一   (b) 实现同步清零的方案之二   有使能端的D触发器   功能:En=0,Q 保持不变。En=1,在CP作用下,Q = D。   Qn+1=CE‾ Qn+CE DQ^{n+1}=overline{C E} cdot Q^{n}+C E cdot DQn+1=CE Qn+CE D   逻辑符号   D3触发器及其应用电路的Verilog HDL建模   例1.试对图所示的带有异步清零和异步置位的边沿D触发器进行建模。   有异步输入端的D触发器//版本1: module Set_Rst_DFF (Q, Q_, D, CP, Rd_, Sd_); output Q,Q_; input D,CP,Rd_,Sd_; wire Y1,Y2,Y3,Y4,Y5,Y6; assign #5 Y1 = ~(Sd_ & Y2 & Y4); assign #5 Y2 = ~(Rd_ & CP & Y1); assign #5 Y3 = ~(CP & Y2 & Y4); assign #5 Y4 = ~(Rd_ & Y3 & D ); assign #5 Y5 = ~(Sd_ & Y2 & Y6); assign #5 Y6 = ~(Rd_ & Y3 & Y5); assign Q = Y5; assign Q_= Y6; endmodule 复制代码   版本1: 根据该图使用连续赋值语句来建模,在assign语句中的#5表示给每个与非门加5个单位时间的传输延迟。//版本2 module Set_Rst_DFF_bh (Q, Q_, D, CP, Rd_, Sd_); output reg Q; output Q_; input D,CP,Rd_,Sd_; assign Q_= ~Q; always @(posedge CP or negedge Sd_ or negedge Rd_) if (~Sd_) //等同于: if (Sd_ 0) Q <= 1"b1; else if (~Rd_) Q <= 1"b0; else Q <= D; endmodule 复制代码   版本2的特点:   采用功能描述风格,使用always和if-else对输出变量赋值。   negedge Sd_是一个异步事件,它与if(~Sd_)必须匹配,negedge Rd_是另一个异步事件,它与if(~Rd_)必须匹配,这是语法规定。当Sd_为0时,将输出Q置1;当Sd_=1且Rd_=0时,将输出Q置0;当Sd_和Rd_均不为0,且时钟CP的上升沿到来时,将输入D传给输出Q。   注意,如果置1事件、置0事件和时钟事件同时发生,则置1事件的优先级别最高、置0事件的次之,时钟事件的优先级最低。   例2 具有同步清零功能的上升沿D触发器。   module Sync_rst_DFF (Q, D, CP, Rd_); output reg Q; input D, CP, Rd_; always @(posedge CP) if ( !Rd_) // also as (~Rd_) Q <= 0; else Q <= D; endmodule 复制代码   例4 试用功能描述风格对图所示电路进行建模(2分频电路) ,并给出仿真结果。   解:(1)设计块:使用always和if-else语句对输出变量赋值,其代码如下。   `timescale 1 ns/ 1 ns module _2Divider (Q,CP,Rd_); output reg Q; input CP,Rd_; wire D; assign D = ~Q; always @(posedge CP or negedge Rd_) if(~Rd_) Q <= 1"b0; else Q <= D; endmodule 复制代码   (2)激励块:给输入变量赋值。`timescale 1 ns/ 1 ns module test_2Divider(); reg CP, Rd_; wire Q; //调用(例化)设计块 _2Divider U1 ( .CP(CP), .Q(Q),.Rd_(Rd_) ); initial begin //产生复位信号Rd_ Rd_ = 1"b0; Rd_ = #2000 1"b1; #8000 $stop; end always begin //产生时钟信号CP CP = 1"b0; CP = #500 1"b1; #500; end endmodule 复制代码   (3)仿真波形(用ModelSim)   由图可知,时钟CP的周期为1000ns,在2000ns之前,清零信号Rd_有效,输出Q被清零。在此之后,Rd_=1,在2500ns时,CP上升沿到来,Q=1;到下一个CP上升沿(3500ns)时,Q=0,再到下一个CP上升沿(4500ns)时,Q=1,……,如此重复,直到8000ns时,系统任务$stop被执行,仿真停止。   总之,在不考虑清零信号Rd_的作用时,每当CP上升沿到来时,触发器状态Q翻转一次。输出信号Q的频率正好是CP频率的二分之一,故称该电路为2分频电路。所谓分频电路,是指可将输入的高频信号变为低频信号输出的电路。   例5 试对图所示电路进行建模,并给出仿真结果。   4位异步二进制计数器逻辑图   解:(1)设计块:采用结构描述风格的代码如下。编写了两个模块,这两个模块可以放在一个文件中,文件名为Ripplecounter.v。   第一个主模块Ripplecounter作为设计的顶层,它实例引用分频器子模块_2Divider1共4次,第二个分频器子模块_2Divider1作为设计的底层。`timescale 1 ns/ 1 ns /*==== 设计块:Ripplecounter.v ====*/ module Ripplecounter (Q,CP,CLR_); output [3:0] Q; input CP, CLR_; //实例引用分频器模块 _2Divider1 _2Divider1 FF0 (Q[0],CP ,CLR_); //注意, 引用时端口的排列顺序--位置关联 _2Divider1 FF1 (Q[1],~Q[0],CLR_); _2Divider1 FF2 (Q[2],~Q[1],CLR_); _2Divider1 FF3 (Q[3],~Q[2],CLR_); endmodule 复制代码   设计的底层模块 _2Divider1//分频器子模块 module _2Divider1 (Q,CP,Rd_); output reg Q; input CP,Rd_; always @(posedge CP or negedge Rd_) if(!Rd_) Q <= 1"b0; else Q <= ~Q; endmodule 复制代码   (2)激励块:给输入变量(CLR_和CP)赋值。/*==== 激励块:test_Ripplecounter.v ====*/ module test_Ripplecounter(); reg CLR_, CP; wire [3:0] Q; Ripplecounter i1 (.CLR_(CLR_),.CP(CP),.Q(Q)); initial begin // CLR_ CLR_ = 1"b0; CLR_ = #20 1"b1; #400 $stop; end always begin // CP CP = 1"b0; CP = #10 1"b1; #10; end endmodule 复制代码   (3)仿真波形:如下图所示。   由图可知,时钟CP的周期为20ns。开始时,清零信号CLR_有效(0~20ns),输出Q被清零。20ns之后,CLR_一直为高电平,在30ns时,CP上升沿到来, Q=0001;到下一个CP上升沿(50ns)时,Q=0010,再到下一个CP上升沿(70ns)时,Q=0011,……,如此重复,到310ns时,Q=1111,到330ns时,Q=0000,……,直到系统任务$stop被执行,仿真停止。   电路首先在CLR_的作用下,输出被清零。此后当CLR_=1时,每当CP上升沿到来时,电路状态Q就在原来二进制值的基础上增加1,即符合二进制递增计数的规律,直到计数值为1111时,再来一个CP上升沿,计数值回到0000,重新开始计数。故称该电路为4位二进制递增计数器(Ripplecounter:纹波计数器) 。   可见,计数器实际上是对时钟脉冲进行计数,每到来一个时钟脉冲触发沿,计数器改变一次状态。   参考文献:Verilog HDL与FPGA数字系统设计,罗杰,机械工业出版社,2015年04月Verilog HDL与CPLD/FPGA项目开发教程(第2版), 聂章龙, 机械工业出版社, 2015年12月Verilog HDL数字设计与综合(第2版), Samir Palnitkar著,夏宇闻等译, 电子工业出版社, 2015年08月Verilog HDL入门(第3版), J. BHASKER 著 夏宇闻甘伟 译, 北京航空航天大学出版社, 2019年03月

仙盟学院丨合欢门派群英阵容推荐,轻松自动解放双手鬼道技能调整,随之受益的可不只有鬼道门派哦!鬼道仙友那着实是变强了,回合末固定结算法术伤害,无论是输出还是辅助效率都提升显著。与其他群法爆发伤害不同,鬼道是属于折磨式持续型输出。这郭田雨,德尔加多回归球队,阵容厚度继续加强,卫冕冠军全速启航8月1日0点中超中期转会窗口开启,这对于急需补充战斗力山东泰山队来说非常的重要,将有多名球员会在这个窗口期内进行更换和补报。1郭田雨,报名概率100,结束了短暂留洋的郭田雨错过了赛被吹上天的空气循环扇比空调还好用?真的吗?建议弄清这些夏天,家中的空调和风扇几乎都是必备的。有很多朋友担心空调病,于是就选择使用风扇。前段时间去朋友家,发现他家有一台很有意思的风扇。他说这台风扇比空调还好用。我非常诧异,特意去了解了这1919年夏天,一对年轻的美国恋人在秦皇岛北戴河所见1919年夏天,美国摄影师西德尼甘博和他的女友一起来的位于河北秦皇岛的避暑胜地北戴河考察旅游,并拍摄了大量的照片。本组所编发的是其中的一小部分。拍摄者西德尼甘博和他的女朋友伊丽莎白夏天真的更容易脱发吗?有什么方法可以缓解?医生一次给你讲清楚你有没有发现,夏天的时候头发掉得更厉害,每梳一次头发,梳子上就会挂着好几根头发,很多人为了防止掉头发,宁愿不梳头发,可是这样并不能完全阻止头发掉落,每次洗头发的时候,仍然会大把大把2022年全新系统比拼!摩托罗拉全方位升级,酷派自研系统很惊艳了解手机圈的人应该都知道,每年都有很多手机厂商根据用户提出的问题来优化自家系统,有的厂商甚至自研了一个新系统为手机赋能,今年当然也不例外。近日,摩托罗拉宣布将在8月2日举行新品发布苹果CEO库克中国供需显著改善,官网打折不是清库存Tech星球7月29日消息,近日,苹果罕见推出打折活动引发网友热议。对此,CEO蒂姆库克在接受采访时表示,中国6月份的供应和需求都得到了显着改善。我们在618购物节期间取得了一些非国产手机彻底被苹果打垮?曝iPhone14疯狂扩产,价格飙升小智这段时间一直在跟大家讲今年全球手机市场的表现到底有多糟糕,消费者的换机欲望跌至谷底,在这种情况下无论是大厂还是小厂日子都不好过。虽然表面每家的新机都还按部就班的在发布,并且在宣OPPOFindX6和一加11Pro都有消息了,全新的产品标准,很期待这几年国内手机行业的变化比较大,基本上可以看到形成了华米OV的主战场,虽然华为近两年在销量上逐渐没有了存在感,但华为旗舰手机在业内的呼声依旧是非常高的,每一家手机厂商都带给了我们很选对电风扇实现风自由,大热天的,你用对了吗?不可思议,一台风扇要3000多块!前几天去朋友家里,他家电风扇吹的吹出的风非常舒服,仿佛身在大自然中,清风徐来,微风拂面。看外观做工也挺精致,心想怎么样也得200块吧。向朋友求证,2。8亿!Redmi海外销量再突破,国产手机风靡全球,外国友人真香近期,Redmi在官方社交账户发文称,其旗下Note系列手机在海外市场销量正式突破2。8亿。这无疑是一个好消息,但同时也海内外网友带来一个疑问为什么国产手机能风靡全球呢?这是因为,
大暑过后,建议吃这3汤3菜,营养丰富,健康消暑,顺利度三伏老话说得好,小暑不算热,大暑正伏天,意思就是大暑才是真正热的伏天。但是今年在没有进入大暑之前,我们就已经感受到了暑气的袭来,温度就已经非常高了。夏天流汗非常多,营养能量流失的也非常日本60岁女演员齐藤惠子,美丽的秘诀是爱自己1961年出生于宫崎县。1982年与JAL的冲绳之夏竞选女郎一起出道。1994年凭借电影Shinonomerou恩娜之乱获得日本奥斯卡最佳女配角奖。活跃于NHK大河剧秀吉连续剧若叶祝贺国乒!祝贺何卓佳!连续战胜4名日本队选手,夺得女单冠军7月23日凌晨,2022年乒乓球布达佩斯站支线赛事女单决赛,国乒何卓佳迎战日本队削球手桥本帆乃香,双方第一局就进入数拍,何卓佳最终42拿下了比赛,夺得了女单冠军。何卓佳连续战胜了平乒乓球冠军赛单打三场43!国乒两人出局,林高远决战日本名将2022年7月23日,乒乓球WTT冠军赛继续进行,倒数第二个比赛日结束,两项单打对阵出炉,陈梦和孙颖莎被队友淘汰,前两位种子出局,其中三场都是43结束,只有林高远零封庄智渊晋级,女7月,这5款中端机,配置高性能强,关键价格香,用3年无压力您在阅读前请点击上面的关注二字,后续会第一时间为您提供更多有价值的相关内容,感谢您的支持。其实对于绝大部分用户来说,完全没有必要购买高端旗舰手机,很多中端机型完全够用,可以轻松地应少年再谱新章!告别竞技赛场的羽生结弦,还将续写新的传奇记者怀晓一个新的起点,即将开启一段新的故事。7月19日,日本花滑运动员羽生结弦召开新闻发布会,宣布退役。今后他将不再参加比赛,而是以职业运动员的身份继续从事花样滑冰。作为有史以来最乔丹接班人压奥尼尔一头勒布朗的初代偶像,你知道是谁吗?1993年10月6号,刚拿下三连冠的乔丹宣布退役。父亲遇刺身亡,让这位篮球第一人失去了留在球场上的动力。巨大的球星真空让NBA不得不寻找下一个超级偶像,那时联盟钦定的接班人是刚刚以双1阵MVP前3!93年乔丹退役,皮蓬单独带队打出了怎样的表现?皮蓬职业生涯打了17个赛季,没有乔丹的赛季有8个,包括9395两个赛季乔丹第1次退役期间(其中9495赛季乔丹在常规赛还剩17场时复出)9804六个赛季乔丹第2次退役之后,98年皮35岁詹姆斯和35岁乔丹,谁更具统治力?对比总决赛数据,差距不小35岁的乔丹和35岁詹姆斯总决赛数据对比,詹姆斯场均29。8分11。0篮板8。5助攻,命中率54。1乔丹场均33。5分4。0篮板2。3助攻,命中率42。7,单从数据上说,乔丹和詹姆如果缺少中锋的火箭愿意签下周琦,格林和史密斯将收获内线支柱属于中国男篮的亚洲杯结束了,而且是以一种让全国球迷极不满意的方式告别赛场,但球队的核心周琦却是为数不多的可以昂首离开的球员,攻守兼备的他撑起了男篮最后的尊严。从好处来讲,进步神速的数据中台实战(一)B2B电商产品经理视角下的数据埋点本文以B2B电商产品亿订为实例,与大家一同谈谈数据中台的数据埋点。笔者所在公司为富力环球商品贸易港,是富力集团旗下汇聚原创设计师品牌及时尚买